CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpu vhdl

搜索资源列表

  1. CPU

    0下载:
  2. Xilinx Modelsim下制作的处理器设计以及添加了外部接口处理。-Xilinx Modelsim produced the design of the processor, and add an external interface.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1066172
    • 提供者:董欣
  1. timer

    0下载:
  2. 计时器的Verilog描述 CPU设计者可以借鉴 -Verilog decription of the timer in processors
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1647
    • 提供者:Dee
  1. cpu16

    0下载:
  2. 实现一个16位CPU。该CPU使用精减指令集,是一个五段流水线的结构。包括取指令(IF)、读寄存器(RD)、运算器(ALU)、内存读写(MEM)和写回(WB)。-The realization of a 16-bit CPU. Streamline the use of the CPU instruction set is a structure of five lines. Including fetch (IF), register read (RD), arithmetic logic u
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:6205
    • 提供者:周健
  1. CPU_Architecture

    0下载:
  2. Our processor is a RISC processor that can be used for many general applications, but it is specially designed for the purpose of high speed network related tasks. External hardware accelerator is used for network packet processing. The common netw
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2388732
    • 提供者:Amit Adoni
  1. cpu_eightbit

    0下载:
  2. vhdl implementation of an eight bit cpu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3802
    • 提供者:srikanth
  1. uart

    0下载:
  2. 用ALTERA的芯片做的多串口代码,内部做了3个通用串口,适合51 ARM等CPU,有完整的ALTERA工程和仿真波形-uart FOR ALTERA
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-07
    • 文件大小:1571639
    • 提供者:郭强
  1. S7_PS2_RS232

    0下载:
  2. 利用cpld作为cpu控制器将ps2中取得按键值通过串口传送给pc机-cpld verilog ps2 UART
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:1438790
    • 提供者:wphyl
  1. pipeline

    0下载:
  2. 用Quartus II 设计的3级流水CPU,指令采用二次重叠执行方式-Quartus II design with three-stage pipeline CPU, instruction execution overlaps with the second time
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-11
    • 文件大小:3029212
    • 提供者:kevin
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. Chapter11-13

    0下载:
  2. 第十一章到第十三章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5088147
    • 提供者:xiao
  1. VHDL

    0下载:
  2. 基于VHDL设计的通用实验CPU中译码器部分,用于进行指令译码。-VHDL design of experiments based on general-purpose CPU in the decoder part, used for instruction decoding.
  3. 所属分类:Other systems

    • 发布日期:2017-03-26
    • 文件大小:128594
    • 提供者:刘杰
  1. WatchForLab

    0下载:
  2. This was the first lab assigmnet in the course CPU Architecture, creat a basic watch
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:1140685
    • 提供者:zarbob
  1. VHDLmipsPipeline

    0下载:
  2. 32 位MIP流水线CPU设计,5 stage,代码详细,包括ALU,存储器,寄存器等,是个很不错的CPU设计-32 MIP pipelined CPU design, 5 stage, the code in detail, including the ALU, memory, registers, etc. is a very good CPU design
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:561487
    • 提供者:suborong
  1. CPU16

    0下载:
  2. 自己用VHDL写的16位的CPU,在学校的课程上通过了测试。-Own use VHDL to write a 16-bit CPU, in school curriculum passed the test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1183688
    • 提供者:Hui
  1. CPU_16_Beta_1.0

    0下载:
  2. VHDL CPU 16 16位的简易CPU 开发工具为Xilinx-VHDL CPU 16 a simple CPU in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2526426
    • 提供者:sigmax6
  1. ESAM_CARD

    0下载:
  2. CPU卡程序 已经在电表应用 性能良好 适合国网要求的协议-CPU card procedures have been well-suited to the meter application performance requirements of an agreement State Grid
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:6118
    • 提供者:taxiangren80
  1. cpu

    0下载:
  2. 基于VHDL的单周期cpu开发,网上找的-cpu design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:42201
    • 提供者:shadow
  1. Microprogramcontroller

    0下载:
  2. 微程序控制器部件实验,使用VHDL语言使用Quartus测试通过,模拟CPU-Micro-program controller component experiments, the use of VHDL language use Quartus test, simulation CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:753045
    • 提供者:糖糖
  1. cpu-poc

    0下载:
  2. 满足并行输出输入的功能,同时与打印机相连,程序中又添加了微处理器的程序。-To meet the parallel input-output function, while with the printer connected to the program has added a microprocessor program.
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1736777
    • 提供者:商客
  1. course-design-cpu-poc

    0下载:
  2. 满足并行输出输入的功能,同时与打印机相连,程序中又添加了微处理器的程序。-To meet the parallel input-output function, while with the printer connected to the program has added a microprocessor program.
  3. 所属分类:assembly language

    • 发布日期:2017-05-07
    • 文件大小:1760081
    • 提供者:商客
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 21 »
搜珍网 www.dssz.com